Compare commits

..

No commits in common. "6539e6695ce146a825487a3db4c230e628d26464" and "4fccf7151cca3392e9b63cbd1d39c7d785ee1113" have entirely different histories.

View file

@ -63,8 +63,7 @@ fn main() {
if let Some(port) = &mut *port_lock {
// handle incoming message
match port.read() {
Ok(port_read) => match port_read {
match port.read().unwrap() {
SerialReadResult::Message(msg) => {
if !msg.is_empty() {
tx.send(msg).unwrap();
@ -72,8 +71,6 @@ fn main() {
}
SerialReadResult::UtfConversion(err) => println!("{:?}", err),
SerialReadResult::Timeout => (),
},
Err(err) => println!("{err}"),
}
}
@ -127,9 +124,7 @@ fn main() {
let mut port_lock = PORT.lock().unwrap();
if let Some(port) = &mut *port_lock {
if let Err(err) = port.write("reset") {
println!("{err}");
}
port.write("reset").unwrap();
}
*port_lock = None;